PiBla: Unterschied zwischen den Versionen

Aus der Mikrocontroller.net Artikelsammlung, mit Beiträgen verschiedener Autoren (siehe Versionsgeschichte)
Wechseln zu: Navigation, Suche
K (Vorläufiges Depot)
K (Update:Sourcedepot)
Zeile 15: Zeile 15:


==Sourcedepot==
==Sourcedepot==
Vorläufig bis zur Einrichtung eines depots auf mikrocontroller.net hier:
Auch auf diesem Server: [svn://mikrocontroller.net/pibla]
[http://svn3.xp-dev.com/svn/pibla/]

Version vom 14. Dezember 2010, 22:08 Uhr

PiBla ist eine in VHDL geschriebene 8-Bit CPU. Die Grundarchitektur und der Befehlsatz entspricht dem des PicoBlaze Controllers für Xilinx-PLDs.

Zielhardware

Der core wurde für den Spartan3 entwickelt und läuft auf dem Spartan3 Evalboard von Xilinx. Er ist ca 20% größer als der orginale picoblaze, da aber noch nicht völlig entwanzt, ist er auch noch nicht optimiert. Maximale Taktfrequenz lag so um 60 MHz. Probeweise wurde der core auch für Altera cyclone ii übersetzt. Der Ramblock für den Programmspeicher muss extra generiert (MegaFunction) werden und es werden FF statt RamBlöcke für das RegisterFile, Subroutine-Stack und ScratchPad-Ram FLiopFlops implementiert. Der Core ist also ohne weitere Handarbeiten deutlicher größer im Vergleich zum Spartan 3 (mit distributed Ram).

Unterschiede

Zum PicoBlaze gibt es folgende Unterschiede:

*jeder Befehl wird in einem Takt abgearbeitet
*der VHDL-Code ist eine Verhaltensbeschreibung (keine Netzliste wie der Picoblaze)

Status

2010-Dez-10: Alle Befehle sind implementiert, allerdings sind einige (Logic-Befehle, SUB) noch nicht komplett überprüft.

Sourcedepot

Auch auf diesem Server: [1]